Любой вопрос новичка, чтоб не захламлять форум. Профи, не проходите мимо. Без вас никуда - 6. - страница 260

 
tiiga:
немного усложнил программу - один тик стал считаться 30 секунд даже для того чтобы запускать на демо счёте в реальном времени - имхо долговато, за пол минуты может многое поменяться
Вы используете встроенные индикаторы. Посему используйте их вызов стандартными функциями. Индикаторы и не находятся на графике тестера и ничего нет рисуют. Так что тормоза ищите в другом месте. А лучше показывайте код как вы там всё делаете. Или кофейной гущи сюда подкиньте, а то свои запасы на исходе ;)
 

Всем приве, помогите устранить функцию в открытом коде неполучается скопелировать советника пишет (using of decompiled source code is prohibited)

как эту функция отключить?


 
zapad:

Всем приве, помогите устранить функцию в открытом коде неполучается скопелировать советника пишет (using of decompiled source code is prohibited)

как эту функция отключить?



ворованный код запрещён... как это отключить ?
 
artmedia70:
Вы используете встроенные индикаторы. Посему используйте их вызов стандартными функциями. Индикаторы и не находятся на графике тестера и ничего нет рисуют. Так что тормоза ищите в другом месте. А лучше показывайте код как вы там всё делаете. Или кофейной гущи сюда подкиньте, а то свои запасы на исходе ;)


я обычные вызовы делаю iMFI(NULL,megaset[11][i][j],megaset[13][i][j],0) (и так много раз разные индикаторы, весь код нет смысла сюда выкладывать - только путать вас 2000 строк)

можно как-то по другому?

 
tiiga:


я обычные вызовы делаю iMFI(NULL,megaset[11][i][j],megaset[13][i][j],0) (и так много раз разные индикаторы, весь код нет смысла сюда выкладывать - только путать вас 2000 строк)

можно как-то по другому?

Загляните в журнал тестера. Какие ошибки пишет?
 

перез запуском пишет

2013.11.17 09:55:00 TestGenerator: unmatched data error (volume limit 973 at 2013.10.04 20:00 exceeded)

2013.11.17 09:55:00 TestGenerator: unmatched data error (low value 1.35204 at 2013.10.01 22:00 is not reached from the least timeframe, low price 1.35221 mismatches)

2013.11.17 09:55:00 TestGenerator: unmatched data error (high value 1.35282 at 2013.10.01 22:00 is not reached from the least timeframe, high price 1.35242 mismatches)

2013.11.17 09:55:00 TestGenerator: unmatched data error (volume limit 6027 at 2013.09.18 20:00 exceeded)

2013.11.17 09:55:00 TestGenerator: unmatched data error (volume limit 6027 at 2013.09.18 20:00 exceeded)

но мне казалось это не особо серьёзно

 
к томуже это в тестере. а тормазит и при запуске в реальном времени - ошибок в выполнении программы никаких нет
 
тормазит именно изза многочисленных вызовов разных индюков (один и тотже индюк с разными параметрами похоже расцениваются как разные индюки)
 
tiiga:
тормазит именно изза многочисленных вызовов разных индюков (один и тотже индюк с разными параметрами похоже расцениваются как разные индюки)

Не видя кода - гадание на кофейной гуще. Но настолько тормозить не должно, раз вы пишете, что 1 тик считает по полминуты. Пересмотрите всю логику. Что-то напортачили с логическим построением кода.

Откуда и почему настолько многочисленные вызовы индикаторов?

 

ну тут много тем есть с тем какие индюки и какие параметры к ним использовать - и я решил сделать прогу самостоятельно подбирающую себе набор индюков.

это нейтросеть примерно из 100 нейрнонов(можно менять количество но даже при 10 всёравно работает медленно) у каждого свой набор из разного количества индюков от 2 до 20. если нейрон убивается за неудачу - получает новый набор индюков.

Причина обращения: